CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 抢答器 vhdl

搜索资源列表

  1. FPGA

    1下载:
  2. 基于VHDL语言 智力抢答器的设计 本人的课程设计
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:490205
    • 提供者:滕莹
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. a

    0下载:
  2. 8路抢答器 vhdl 实验以及报告-Answer 8 experimental device as well as the report vhdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:258590
    • 提供者:fufeifei
  1. qhqjh

    0下载:
  2. vhdl 设计得四位抢答器,有图和源码及设计思想-VHDL designed to four vies to answer first device, a figure and the source code and design thought
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:92863
    • 提供者:雷敏
  1. ZLQD_ppt

    0下载:
  2. 智力抢答器课件,基于VHDL,适合初学者,按步骤详解-Intelligence Responder courseware, based on VHDL, suitable for beginners, step by step Detailed
  3. 所属分类:software engineering

    • 发布日期:2017-05-06
    • 文件大小:956464
    • 提供者:Leo
搜珍网 www.dssz.com